21.1.2 Tcl Command Documentation Conventions

The following table lists the typographical conventions used for the Tcl command syntax.

Syntax Notation Description
command - argumentCommands and arguments appear in Courier New typeface.
variable Variables appear in Courier New typeface. You must substitute an appropriate value for the variable.
[-argument value] [variable]+Optional arguments begin and end with a square bracket with one exception: if the square bracket is followed by a plus sign (+), then users must specify at least one argument. The plus sign (+) indicates that items within the square brackets can be repeated. Do not enter the plus sign character.
#Comments can be written using a hash (#) sign in the beginning of a text that you want the interpreter to ignore. Comments can be used to provide helping text in your Tcl program.
Important: All Tcl commands are case sensitive. However, their arguments are not.

21.1.2.1 Examples

Syntax for the get_clocks command followed by a sample command:

get_clocks variable 

For example, get_clocks clk1.

Syntax for the backannotate command followed by a sample command:

backannotate -name file_name -format format_type -language language -dir directory_name [- netlist] [-pin]

For example, backannotate -dir {..\design} -name "fanouttest_ba.sdf" -format "SDF" -language "VERILOG" -netlist.

21.1.2.2 Wildcard Characters

You can use the following wildcard characters in names used in Tcl commands:

Wildcard What it Does
\Interprets the next character literally.
?Matches any single character.
*Matches any string.
[]Matches any single character among those listed between brackets (that is, [A-Z] matches any single character in the A-to-Z range).
Important: The matching function requires that you add a slash (\) before each slash in the port, instance, or net name when using wildcards in a PDC command. For example, if you have an instance named A/B12 in the netlist, and you enter that name as A\\/B* in a PDC command, you will not be able to find it. In this case, you must specify the name as A\\\\/B*.

21.1.2.3 Special Characters [ ], { }, and \

Sometimes square brackets ([ ]) are part of the command syntax. In these cases, you must either enclose the open and closed square brackets characters with curly brackets ({ }) or precede the open and closed square brackets ([ ]) characters with a backslash (\). If you do not, you will get an error message.

Important: Tcl commands are case sensitive. However, their arguments are not.

21.1.2.4 Entering Arguments on Separate Lines

To enter an argument on a separate line, you must enter a backslash (\) character at the end of the preceding line of the command as shown in the following example:

backannotate -dir \
{..\design} -name "fanouttest_ba.sdf" -format "SDF" -language "VERILOG" \
-netlist

See Also