21.8.65 set_options

Description

Sets options for timing analysis which can be changed in the SmartTime Options dialog box in the SmartTime GUI. All of the options from SmartTime are passed on to place-and-route tool, and some affect timing-driven place-and-route.

set_options \
[-max_opcond value ] \
[-min_opcond value ] \
[-interclockdomain_analysis value ] \
[-use_bibuf_loopbacks value ] \
[-enable_recovery_removal_checks value ] \
[-break_at_async value ] \
[-filter_when_slack_below value ] \
[-filter_when_slack_above value ] \
[-remove_slack_filters] \
[-limit_max_paths value ] \
[-expand_clock_network value ] \
[-expand_parallel_paths value ] \
[-analysis_scenario value ] \
[-tdpr_scenario value ] \
[-reset]

Arguments

ParameterTypeDescription
max_opcondstringSets the operating condition to use for Maximum Delay Analysis.

The acceptable values for max_opcond for PolarFire can be the following:

  • slow_lv_ht - use slow_lv_ht conditions for maximum delay analysis
  • slow_lv_lt - use slow_lv_lt conditions for maximum delay analysis
  • fast_hv_lt - use fast_hv_lt conditions for maximum delay analysis

Default is slow_lv_lt.

max_opcond for SmartFusion® 2, IGLOO® 2, and RTG4™ can be as following:

  • worst - use worst case conditions for maximum delay analysis
  • typical - use typical conditions for maximum delay analysis
  • best - use best case conditions for maximum delay analysis

Default is worst.

min_opcondstringSets the operating condition to use for Minimum Delay Analysis.

The acceptable values for min_opcond for PolarFire can be the following:

  • slow_lv_ht - use slow_lv_ht conditions for minimum delay analysis
  • slow_lv_lt - use slow_lv_lt conditions for minimum delay analysis
  • fast_hv_lt - use fast_hv_lt conditions for minimum delay analysis

Default is fast_hv_lt.

min_opcond for SmartFusion® 2, IGLOO® 2, and RTG4 can be as following:

  • worst - use worst case conditions for minimum delay analysis
  • typical - use typical conditions for minimum delay analysis
  • best - use best case conditions for minimum delay analysis

Default is best.

interclockdomain_analysisstringEnables or disables inter-clock domain analysis. Value can be the following:
  • yes - enables inter-clock domain analysis
  • no - disables inter-clock domain analysis

Default is no.

Timing-driven place-and-route is affected by this option.

use_bibuf_loopbacksstringInstructs the timing analysis whether to consider loopback path in bidirectional buffers (D->Y, E->Y) as false-path {no}. Default is no; i.e., loopbacks are false paths. Values can be the following:
  • yes - enables loopback in bibufs
  • no - disables loopback in bibufs
enable_recovery_removal_checksstringEnables recovery checks to be included in max-delay analysis and removal checks in min-delay analysis. Default is no. Values can be the following:
  • yes - enables recovery an removal checks
  • no - disables recovery and removal checks
break_at_asyncstringSpecifies whether or not timing analysis is allowed to cross asynchronous pins (clear, reset of sequential elements). Default is yes. Values can be the following:
  • yes - enables breaking paths at asynchronous ports
  • no - disables breaking paths at asynchronous ports.

Timing-driven place-and-route is affected by this option.

filter_when_slack_belowfloating pointSpecifies a minimum slack value for paths reported by list_paths. Not set by default.
filter_when_slack_abovefloating pointSpecifies a maximum slack value for paths reported by list_paths. Not set by default.
remove_slack_filtersNoneRemoves the slack minimum and maximum set using -filter_when_slack_below and -filter_when_slack_above.
limit_max_pathsintegerSpecifies the maximum number of paths reported by list_paths. Default is 20. Number must be greater than 0.
expand_clock_networkstringSpecify whether or not clock network details are reported in expand_path. Default is yes. Values can be the following:
  • yes - enables expanded clock network information in paths
  • no - disables expanded clock network information in paths.
expand_parallel_pathsintegerSpecify the number of parallel paths {paths with the same ends} to include in expand_path. Default is 1. Number must be greater than 0.
analysis_scenariostringSpecify the constraint scenario to be used for timing analysis. Default scenario is Primary.
tdpr_scenariostringSpecify the constraint scenario to be used for timing-driven place-and-route. Default scenario is Primary. Timing-driven place-and-route is affected by this option.
resetNoneReset all options to the default values, except those for analysis and TDPR scenarios, which remain unchanged.

Supported Families

PolarFire®
PolarFire SoC
SmartFusion® 2
IGLOO® 2
RTG4

Example

The following script commands the timing engine to use best operating conditions for both max-delay analysis and min-delay analysis:

set_options -max_opcond {best} -min_opcond {best}
set_options -max_opcond {fast_hv_lt} -min_opcond {fast_hv_lt}

The following script changes the scenario used by timing-driven place-and-route and saves the change in the Libero project for place-and-route tools to see the change.

set_options -tdpr_scenario {My_TDPR_Scenario}

Related Examples on GitHub