21.8.10 expand_path

Description

Displays expanded path information (path details) for paths. The paths to be expanded are identified by the parameters required to display these paths with list_paths. For example, to expand the first path listed with list_paths -clock {MYCLOCK} -type {register_to_register}, use the command expand_path -clock {MYCLOCK} -type {register_to_register}. Path details contain the pin name, type, net name, cell name, operation, delay, total delay, and edge as well as the arrival time, required time, and slack. These details are the same as details available in the SmartTime Expanded Path window.

expand_path \
-index value \
-set name \
-clock clock_name \
-type set_type \
-analysis {max| min} \
-format {csv | text} \
-from_clock clock_name \
-to_clock clock_name

Arguments

ParameterTypeDescription
index valuelist of integersSpecify the index of the path to be expanded in the list of paths and display them. The index starts at 1, and defaults to 1. If index value is less than 1, then it is considered as 1. List of specified indexes can be not sequential. Only the paths with indices lower than the max_paths option value will be expanded.
analysis {min|max}stringSpecify whether the timing analysis is done via max-delay (setup check) or min-delay (hold check). Valid values are min/max or mindelay/maxdelay.
format {csv | text}stringSpecify the file format of the output. It can be either text—ASCII text format (default) or csv (comma separated values).
setstringDisplays a list of paths from the named set. You can either use the -set option to specify a user set by its name or use both -clock and -type to specify a set.
clockstringDisplays the set of paths belonging to the specified clock domain. You can either use this option along with -type to specify a set or use the -set option to specify the name of the set to display.
typestringSpecifies the type of paths in the clock domain to display in a list. You can only use this option with the -clock option. You can either use this option along with -clock to specify a set or use the -set option t specify a set name.
  • reg_to_reg—paths between registers in the design.
  • async_to_reg—path from asynchronous pins to registers.
  • reg_to_async—path from registers to asynchronous pins.
  • external_recovery—set of paths from input ports to asynchronous pins.
  • external_removal—set of paths from input ports to asynchronous pins.
  • external_setup—path from input ports to registers.
  • external_hold—path from input ports to registers.
  • clock_to_out—path from registers to output ports.
from_clockstringDisplays a list of timing paths for an inter-clock domain set belonging to the source clock specified. You can only use this option with the -to_clock option, not by itself.
to_clockstringDisplays a list of timing paths for an inter-clock domain set belonging to the sink clock specified. You can only use this option with the -from_clock option, not by itself.
Return TypeDescription
stringDisplays expanded path information (path details) for paths.

Supported Families

PolarFire®
PolarFire SoC
SmartFusion® 2
IGLOO® 2
RTG4

Example

The following example displays first expanded path information (path details) for paths between registers in the design.

puts [expand_path -clock { myclock } -type { reg_to_reg }]

The following example displays expanded paths details with 1, 2, and 3 indexes from list of paths.

puts [expand_path -clock { myclock } -type { reg_to_reg } -index { 1 2 3 } -format text]

Related Examples on GitHub

See Also