21.8.30 list_paths

Description

Returns a list of the n worst paths matching the arguments. The number of paths returned can be changed using the set_options -limit_max_paths <value> command.

list_paths \
-analysis <max | min> \
-format <csv | text> \
-set <name> \
-clock <clock name> \
-type <set_type> \
-from_clock <clock name> \
-to_clock <clock name> \
-in_to_out \
-from <port/pin pattern> \
-to <port/pin pattern>

Arguments

ParameterTypeDescription
analysisstringSpecifies whether the timing analysis is done for max-delay (setup check) or min-delay (hold check). Valid values are: max or min.
formatstringSpecifies the list format. It can be either text (default) or csv (comma separated values). Text format is better for display and csv format is better for parsing.
setstringReturns a list of paths from the named set. You can either use the -set option to specify a user set by its name or use both -clock and -type to specify a set.
clockstringReturns a list of paths from the specified clock domain. This option requires the -type option. You cannot use wildcards when specifying a clock name.
typestring

Specifies the type of paths to be included. It can only be used along with -clock. Valid values are:

  • reg_to_reg -paths between registers in the design.
  • async_to_reg -paths from asynchronous pins to registers.
  • reg_to_async -paths from registers to asynchronous pins of registers.
  • external_recovery -paths from input ports to asynchronous pins of registers.
  • external_removal -paths from input ports to asynchronous pins of registers.
  • external_setup -paths from input ports to data pins of registers.
  • external_hold -paths from input ports to data pins of registers.
  • clock_to_out -paths from registers to output ports.
from_clockstringUsed along with -to_clock to get the list of paths of the inter-clock domain between the two clocks.
to_clockstringUsed along with -from_clock to get the list of paths of the inter-clock domain between the two clocks.
in_to_outNoneUsed to get the list of path between input and output ports.
fromstringFilter the list of paths to those starting from ports or pins matching the pattern.
tostringFilter the list of paths to those ending at ports or pins matching the pattern.
Return TypeDescription
list of stringsReturns a list of the n worst paths matching the arguments.

Supported Families

PolarFire®
PolarFire SoC
SmartFusion® 2
IGLOO® 2
RTG4

Example

The following command displays the list of register to register paths of clock domain clk1.

puts [ list_paths -clock clk1 -type reg_to_reg ]

Related Examples on GitHub