21.8.45 remove_output_delay

Description

Removes an output delay by specifying both the clocks and port names or the ID of the output_delay constraint to remove. If the clocks and port names do not match an output delay constraint in the current scenario, or if the specified ID does not refer to an output delay constraint, this command fails.
Note: Do not specify both the clock and port names and the constraint ID.
remove_output_delay -clock clock_name port_pin_list
remove_output_delay -id constraint_ID

Arguments

ParameterTypeDescription
clockstringSpecifies the clock name to which the specified output delay value is assigned.
Note: You must specify clock name as {CLK}, not [get_clocks {CLK}].
port_pin_listlist of stringsSpecifies the port names to which the specified output delay value is assigned.
idintegerSpecifies the ID of the clock with the output_delay value to remove from the current scenario. You must specify either both a clock name and list of port names or the output_delay constraint ID.

Error Codes

Error CodeDescription
NoneParameter -clock has illegal value.
NoneInvalid clock/port arguments.
NoneOnly one argument is needed.

Supported Families

PolarFire®
PolarFire SoC
SmartFusion® 2
IGLOO® 2
RTG4

Exceptions

You cannot use wildcards when specifying a clock or port names, either alone or in an accessor command.

Example

The following example removes the output delay from CLK1 on port out1.

remove_output_delay -clock {CLK1} [get_ports out1]

Related Examples on GitHub

See Also