23.8 Reference Documents

Refer to the following documents for information on how to create an AHB-Lite or APB wrapper on the custom logic connect it to the MSS system through the FIC.

Connecting User Logic to the SmartFusion Microcontroller Subsystem Application Note: This application note explains how to create an AHB-Lite or APB wrapper on custom logic.

Building an APB3 Core for SmartFusion cSoC FPGAs Application Note: This document describes how to create an APB wrapper interface for the user logic or IP.

TU0310: Interfacing User Logic with the Microcontroller Subsystem Tutorial: This tutorial shows you how to interface and handle communication between the user logic in the FPGA fabric and the MSS. It also explains the Libero SoC design software tool flow used for designing applications for the SmartFusion 2 SoC FPGA family of devices.

AMBA 3 AHB-Lite Protocol Specification

AMBA 3 APB Protocol Specification