21.8.14 get_nets

Description

Returns a collection of nets matching the pattern you specify. You can only use this command as source objects in create clock (create_clock) or create generated clock (create_generated_clock) constraints and as -through arguments in the set false path, set minimum delay, set maximum delay, and set multicycle path constraints. Wildcards can be used to select multiple nets at once. If no objects match the criteria, the empty string is returned.

get_nets pattern

Arguments

ParameterTypeDescription
patternstringSpecifies the pattern to match the names of the nets to return. For example, get_nets N_255* returns all nets starting with the characters N_255, where * is a wildcard that represents any character string. This is mandatory.
Return TypeDescription
objectReturns an object representing the nets that match those specified in the pattern argument.

Error Codes

Error CodeDescription
NoneRequired parameter _AtclParam0_ is missing.

Supported Families

PolarFire®
PolarFire SoC
SmartFusion® 2
IGLOO® 2
RTG4

Example

The following example sets maximum delay constraining all paths from RDATA1 port passing -through net_chkp1 net_chkqi nets.

set_max_delay 2 -from [get_ports RDATA1] -through [get_nets {net_chkp1 net_chkqi}]

The following example specifies all paths through the nets Tblk/rm/n* to be false.

set_false_path –through [get_nets {Tblk/rm/n*}]

The following example creates a clock on cknet net with a period of 2.5 ns.

create_clock -name  mainCLK -period 2.5 [get_nets {cknet}]

Related Examples on GitHub

See Also