12.2.3 Initialization

This section describes the MMUART initialization sequence, reset, clock requirements, and interrupts. The MMUART is initialized by configuring the MMUART control registers and SOFT_RESET_CR system registry.