21.3.13 check_pdc_constraints

Description

This Tcl command checks Physical Design Constraints (PDC) constraint files associated with the Libero Place and Route tool. PDC Tcl is divided between I/O attribute and pin information from all floorplanning and timing constraints.
  • The I/O Attributes tab allows you to manage I/O attributes/constraints for your design’s Inputs, Outputs and Inouts. All I/O constraint files (PDC) have the *.pdc file extension and are placed in the <project_location>/constraint/io folder.
  • The Floor Planner tab allows you to manage floorplanning constraints. Floorplanning constraints files have the *.pdc file extension and are placed in the <project_location>\constraint\fp folder.
check_pdc_constraints -tool {designer}

Arguments

ParameterTypeDescription
toolstringThe valid value is designer.

Error Codes

Error CodeDescription
None

Please run 'Compile Netlist' before checking the validity of the associated constraint files.

None

Parameter 'param_name' is not defined. Valid command formatting is 'check_ndc_constraints -tool "synthesis | designer | physynth | timing | compilenetlist | pnr"'.

Supported Families

Supported Families
PolarFire®
RTG4
SmartFusion® 2
IGLOO® 2

Example

The following command checks PDC constraints files associated with the Libero Place and Route (designer) tool.

check_pdc_constraints -tool {designer}